Cayetano Santos
0a904250b9
gnu: osvvm: Update to 2025.06a.
...
* gnu/packages/electronics.scm (osvvm): Update to 2025.06a.
Change-Id: I355a679b559c7f7008fcb7e8216ad8b577c3f3a7
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-30 21:51:25 +09:00
Sharlatan Hellseher
b79fbf27b8
gnu: python-setuptools-next: Set to python-setuptools.
...
Replace all python-setuptools-next occurrences with python-setuptools.
* gnu/packages/python-build.scm (python-setuptools-next): Set to
python-setuptools.
Change-Id: I9e5e0881310d160ec56be785f2dd2140364fa8e5
2025-09-25 09:36:24 +01:00
Cayetano Santos
90fcaa261f
gnu: python-vunit: Fix unbundle dependencies.
...
* gnu/packages/electronics.scm (python-vunit)[arguments]: Remove
dosymlink; add unbundle and run-examples #:phases.
Change-Id: I088bffa95775a62045c5c6fecb1cf4a47ccda2f8
Signed-off-by: Gabriel Wicki <gabriel@erlikon.ch>
2025-09-24 15:30:43 +02:00
Cayetano Santos
3e69fa627a
gnu: prjtrellis: Update to 1.4-1.92345b7.
...
* gnu/packages/electronics.scm (prjtrellis): Update to 1.4-1.92345b7.
Change-Id: I8f67746e2b19191f0c47c80789104951b7f8a577
Signed-off-by: Gabriel Wicki <gabriel@erlikon.ch>
2025-09-24 15:30:43 +02:00
Cayetano Santos
ee16bf81cd
gnu: aacircuit: Move to electronics.
...
* gnu/packages/engineering.scm (aacircuit): Move from here ...
* gnu/packages/electronics.scm: ... to here.
Change-Id: If632d7ba4917a8a5d01f45943daa9cdd86c2a9bb
Signed-off-by: Gabriel Wicki <gabriel@erlikon.ch>
2025-09-24 15:30:40 +02:00
Cayetano Santos
2ff3cdf8aa
gnu: icestorm: Improve style.
...
* gnu/packages/electronics.scm (icestorm): Improve style.
Change-Id: I54af740aa866cd3d0f5a02c76ca30c8cf293cb63
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-23 22:19:25 +09:00
Cayetano Santos
4660273f00
gnu: icestorm: Update to 1.1.
...
* gnu/packages/electronics.scm (icestorm): Update to 1.1.
[#:phases] {fix-usr-local}: Fix config.mk.
[native-inputs]: Replace python with python-minimal, add
python-sphinxcontrib-svg2pdfconverter, remove python-sphinx.
Change-Id: I9413c6ac1e620ede236e66b4a79c842f0a6741a0
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-23 22:19:24 +09:00
Cayetano Santos
642083da2b
gnu: Add ieee-p1076.
...
* gnu/packages/electronics.scm (ieee-p1076): New variable.
Change-Id: I45f4ef920f1d5768249cb542874ed012be77a13c
Signed-off-by: Gabriel Wicki <gabriel@erlikon.ch>
2025-09-22 20:11:50 +02:00
Cayetano Santos
5f2dcf1688
gnu: python-vunit: Unbundle dependencies.
...
* gnu/packages/electronics.scm (python-vunit)[source]: Unset
[arguments]: Add dosymlink #:phase.
[inputs]: Add json-for-vhdl-for-vunit and osvvm-2023.04.
Change-Id: I17af0acf0b4be171b908db50ec9908334aad8a8a
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-21 22:31:36 +09:00
Cayetano Santos
f5214fd999
gnu: Add json-for-vhdl-for-vunit.
...
* gnu/packages/electronics.scm (json-for-vhdl-for-vunit): New variable.
Change-Id: I7502ab75847560dd94564ca641fdc049f64a9ed2
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-21 22:31:36 +09:00
Cayetano Santos
7972e721be
gnu: Add osvvm-2023.04.
...
* gnu/packages/electronics.scm (osvvm-2023.04): New variable.
Change-Id: I3a440010366bcf29a6995edb34cdbdb092a7ed11
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-21 22:31:36 +09:00
Maxim Cournoyer
10482f731e
Reapply "Update Maxim's email address."
...
This reverts commit a7db92d9b3
, this time with
the more careful command, to avoid a world rebuild:
grep -rl --exclude-dir=build --exclude-dir=patches --exclude=ld-wrapper.in \
--exclude=sitecustomize.py --exclude=guix-emacs.el maxim.cournoyer@gmail.com |
xargs sed -i 's/maxim.cournoyer@gmail.com/maxim@guixotic.coop/g'
Change-Id: I0871f5cf9ace79e34e78e24154d0da6ea95a3a91
2025-09-18 13:44:12 +09:00
Maxim Cournoyer
a7db92d9b3
Revert "Update Maxim's email address."
...
This reverts commit d0d87a744d
. Oops! This
caused a world rebuild.
Change-Id: I25fff644b2b61d0ee93d69b457b04c72b5b74d15
2025-09-18 12:07:31 +09:00
Maxim Cournoyer
d0d87a744d
Update Maxim's email address.
...
The change was automated via:
git grep -l maxim.cournoyer@gmail.com |
xargs sed -i 's/maxim.cournoyer@gmail.com/maxim@guixotic.coop/g'
* .mailmap: New entry.
Change-Id: Iaa88b1e51c94159c49138fb43910badb990eb169
2025-09-18 08:05:19 +09:00
Cayetano Santos
09e7d188dd
gnu: nextpnr: Remove let bindings.
...
* gnu/packages/electronics.scm (nextpnr): Use git tags, omit commit and
revision bindings.
Change-Id: I505c8676890f23b1df5a57a63c8f574eda0681de
Signed-off-by: Gabriel Wicki <gabriel@erlikon.ch>
2025-09-17 22:58:48 +02:00
Cayetano Santos
ea92fb0c49
gnu: nextpnr: Update to 0.9.
...
* gnu/packages/electronics.scm (nextpnr): Update to 0.9.
Change-Id: I75a8dae81f7e902e165f25a27a0de7ad6ad228bc
Signed-off-by: Gabriel Wicki <gabriel@erlikon.ch>
2025-09-17 22:58:48 +02:00
Cayetano Santos
2e84388780
gnu: apycula: Update to 0.25.
...
* gnu/packages/electronics.scm (apycula): Update to 0.25.
Change-Id: Ide7b8e92191ebf966a3048fe053a57c10dde38af
Signed-off-by: Gabriel Wicki <gabriel@erlikon.ch>
2025-09-17 22:58:47 +02:00
Efraim Flashner
bf294bd4c1
gnu: openfpgaloader: Remove duplicate package.
...
* gnu/packages/electronics.scm (openfpgaloader): Remove variable.
Change-Id: I9625652da2a15e5a192673d89defdef1b53c625b
2025-09-17 12:46:43 +03:00
Cayetano Santos
d970689f80
gnu: Merge fpga in electronics module.
...
* gnu/local.mk: Drop fpga.scm.
* etc/teams: Drop fpga.scm.
* CODEOWNERS: Drop fpga.scm.
* po/packages/POTFILES.in: Drop fpga.scm.
* gnu/packages/fpga.scm: Remove file.
(abc, abc-yosyshq, apycula, fftgen, gtkwave, iverilog, icestorm, libfst)
(nextpnr, nextpnr-ice40, nvc, openfpgaloader, python-hdlmake)
(python-migen, python-myhdl, python-vunit, systemc, verilator)
(yosys, yosys-clang): Move from here…
* gnu/packages/electronics.scm: … to here.
Change-Id: Ia920313a383d21210b217e3bf3f5c60c4682fc43
2025-09-17 11:36:57 +02:00
Cayetano Santos
da7023ce10
gnu: python-cocotb-bus: Update to 0.2.1-1.c3541f1.
...
* gnu/packages/electronics.scm (python-cocotb-bus): Update to 0.2.1-1.c3541f1.
[arguments]: Disable tests.
[native-inputs]: Remove python-setuptools and python-wheel; add python-setuptools-next.
Change-Id: I7dbe913786b1937c18700af3b811d0ac064f3262
2025-09-14 16:12:41 -07:00
Cayetano Santos
261211ca15
gnu: python-cocotb: Update to 2.0.0.
...
* gnu/packages/electronics.scm (python-cocotb): Update to 2.0.0.
[native-inputs]: Remove python-setuptools and python-wheel; add python-setuptools-next.
Change-Id: Iba4e231074c84ec5170533a1d14eea79dc7dfdf7
2025-09-14 16:12:40 -07:00
Cayetano Santos
5dff277b6e
gnu: m8c: Update to 2.1.0.
...
* gnu/packages/electronics.scm (m8c): Update to 2.1.0.
[build-system]: Switch to cmake-build-system.
[inputs]: Add libdecor.
[arguments]: Add #:configure-flags, remove #:make-flags and #:phases.
Change-Id: I8a9b2981ca87306d6ac0471ee311841935ccb12e
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-09 17:39:24 +09:00
Cayetano Santos
368f83a08a
gnu: symbiyosys: Update to 0.57.
...
* gnu/packages/electronics.scm (symbiyosys): Update to 0.57.
(abc-yosyshq): Update to 0.57.
(yosys): Update to 0.57.
Change-Id: I544d9d294f4cfe414fee7d6b3faf2edf24eb8bf9
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-09 17:11:55 +09:00
Cayetano Santos
95668fc829
gnu: qucsator-rf: Update to 1.0.7; also update qucs-s to 25.2.0.
...
* gnu/packages/electronics.scm (qucsator-rf): Update to 1.0.7.
(qucs-s): Update to 25.2.0.
Change-Id: I27dce699f328549ca4d5db93bc3daad9e82102d6
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-09 17:06:56 +09:00
Cayetano Santos
ed00702631
gnu: minipro: Move to flashing-tools.
...
* gnu/packages/electronics.scm (minipro): Move from here ...
* gnu/packages/flashing-tools.scm: ... to here.
Change-Id: I41354d9a5731575e370bfc90805ec1260bdb86d3
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-09 16:04:05 +09:00
Cayetano Santos
b298b2c7ad
gnu: Add osvvm.
...
* gnu/packages/electronics.scm (osvvm): New variable.
Change-Id: I7e8dcae236e15bdd75d22cf04d6039fc333257b0
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
Modified-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-03 21:24:25 +09:00
Cayetano Santos
9a830d118a
gnu: Add json-for-vhdl.
...
* gnu/packages/electronics.scm (json-for-vhdl): New variable.
Change-Id: Ia43b8332a882ecd3499a63ea9855e10d65fbac77
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-03 21:23:11 +09:00
Cayetano Santos
0c458e27df
gnu: qucs-s: Move to electronics.
...
* gnu/packages/engineering.scm (qucs-s): Move from here ...
* gnu/packages/electronics.scm: ... to here.
Change-Id: I6a4827b674a4eab9668cacea9fa0076bc9f887cf
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-02 23:10:11 +09:00
Cayetano Santos
c3b0e81003
gnu: qucsator-rf: Move to electronics.
...
* gnu/packages/engineering.scm (qucsator-rf): Move from here ...
* gnu/packages/electronics.scm: ... to here.
Change-Id: Id6652d720134d72136f439a053e8faf81749bb2f
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-02 23:10:11 +09:00
Cayetano Santos
8ac9bbbea1
gnu: uhdm: Update to 1.86.
...
* gnu/packages/electronics.scm (uhdm): Update to 1.86.
[source]: Remove recursive?.
[arguments]: Improve style.
Change-Id: I5e7033aae6a9c45e48c057c9038218e7ecc2a031
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-02 23:07:13 +09:00
Cayetano Santos
31d7e12cd8
gnu: m8c: Update to 2.0.0.
...
* gnu/packages/electronics.scm (m8c): Update to 2.0.0.
[inputs]: Remove sdl2; add sdl3.
Change-Id: Iacea7d269220ab8d5a3fde9a19256f70d536a7dc
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-02 23:07:13 +09:00
Cayetano Santos
e24b7b39bf
gnu: python-vsg: Update to 3.34.0.
...
* gnu/packages/electronics.scm (python-vsg): Update to 3.34.0.
[arguments]: Update #:test-flags.
[native-inputs]: Remove python-pytest, python-setuptools, and python-wheel; add
python-setuptools-next.
Change-Id: Ic85a0fd65df327f2fd69868fae7cd0868f99fa0d
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-02 23:07:13 +09:00
Cayetano Santos
d0ebb9aa5d
gnu: python-edalize: Update to 0.6.1.
...
* gnu/packages/electronics.scm (python-edalize): Update to 0.6.1.
[arguments]<#:test-flags>: Enable previously failing tests.
[native-inputs]: Remove python-setuptools and python-wheel; add python-setuptools-next.
Change-Id: I99e33582f4a4248f2ea6e9121dddf32e1e3f1b04
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-09-02 23:07:13 +09:00
Cayetano Santos
481a62fe10
gnu: libsigrok: Improve style.
...
* gnu/packages/electronics.scm (libsigrok)[arguments]: Use
G-Expressions.
Change-Id: I6ba9cbf71142577be62187e09fee4d0f89b79ba9
Signed-off-by: Ludovic Courtès <ludo@gnu.org>
2025-08-28 23:01:25 +02:00
Cayetano Santos
44a5f936a3
gnu: libsigrokdecode: Improve style.
...
* gnu/packages/electronics.scm (libsigrokdecode)[arguments]: Use G-Expressions.
Change-Id: I6f8eb96d7b8ca196932d18bcb4fbccf96a758b7d
Signed-off-by: Ludovic Courtès <ludo@gnu.org>
2025-08-28 23:01:25 +02:00
Cayetano Santos
b5f1e3671c
gnu: pulseview: Improve style.
...
* gnu/packages/electronics.scm (pulseview)[arguments]: Use
G-Expressions.
[description]: Fix max column.
Change-Id: Ic4856ec45270dfd23dc5a5990db18bf25c3ec78c
Signed-off-by: Ludovic Courtès <ludo@gnu.org>
2025-08-28 23:01:25 +02:00
Cayetano Santos
cb012b55d3
gnu: python-vsg: Update to 3.33.0.
...
* gnu/packages/electronics.scm (python-vsg): Update to 3.33.0.
[arguments]<:#test-flags>: Disable test_utf_8.
[native-nputs]: Add pytest-cov and pytest-html.
Change-Id: I9ed0c4df95140e54301995c268dc6f9206d44c53
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-08-23 23:00:12 +09:00
Cayetano Santos
760937c6e7
gnu: symbiyosys: Update to 0.56.
...
* gnu/packages/electronics.scm (symbiyosys): Update to 0.56.
Change-Id: I19d81e98d3d79579386f175d65196acee5842c35
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-08-22 10:32:30 +09:00
Cayetano Santos
a6be6f18f8
gnu: Add route-rnd.
...
* gnu/packages/electronics.scm (route-rnd): New variable.
Change-Id: I4ef3bcbf58f31876584b1431fdd3e10bc9e7b799
Signed-off-by: Andreas Enge <andreas@enge.fr>
2025-08-13 17:59:15 +02:00
Cayetano Santos
eed7a49813
gnu: Add camv-rnd.
...
* gnu/packages/electronics.scm (camv-rnd): New variable.
Change-Id: I8007d77772bcbb54be74361b96a1d6a5ece4b7c3
Signed-off-by: Andreas Enge <andreas@enge.fr>
2025-08-13 17:59:14 +02:00
Cayetano Santos
d7b508c289
gnu: Add sch-rnd.
...
* gnu/packages/electronics.scm (sch-rnd): New variable.
Change-Id: I6be48f0f0b02449a8fe022da2e373488308baa6f
Signed-off-by: Andreas Enge <andreas@enge.fr>
2025-08-13 17:59:07 +02:00
Cayetano Santos
7d3e4fa63a
gnu: librnd: Make private.
...
Librnd is a library intended to be used solely by packages in the
Ringdove suite.
* gnu/packages/electronics.scm (librnd): Make private.
Change-Id: Ic42b79eb8644cc6981d8c95ae67d2258796423e4
Signed-off-by: Andreas Enge <andreas@enge.fr>
2025-08-08 18:34:16 +02:00
Cayetano Santos
0697809d64
gnu: opensta: Update to 2.7.0-0.cda3044.
...
Tests expect a hard coded path to the binary under source/build/sta.
* gnu/packages/electronics.scm (opensta): Update to 2.7.0-0.cda3044 and build locally.
<#:out-of-source?>: Set to #f.
<#:configure-flags>: Add build dir.
<#:phases>{check}: Correct relative path.
{create-build-dir}: Produce build dir.
Change-Id: I2b888675364290b28b7f29789c7807f9a42d9f5a
2025-08-08 13:50:47 +09:00
Cayetano Santos
82ab2e82f3
gnu: librnd: Move to electronics.
...
* gnu/packages/engineering.scm (librnd): Move from here ...
* gnu/packages/electronics.scm: ... to here.
Change-Id: Ia0a587c35729883ece0fb8ed4dab18e7eb63222a
Signed-off-by: Andreas Enge <andreas@enge.fr>
2025-08-01 17:43:19 +02:00
Cayetano Santos
981000bd3b
gnu: pcb-rnd: Move to electronics.
...
* gnu/packages/engineering.scm (pcb-rnd): Move from here ...
* gnu/packages/electronics.scm: ... to here.
Change-Id: I7b8d84e1dcffd26e6c4833eb51310167c5c5fa4a
Signed-off-by: Andreas Enge <andreas@enge.fr>
2025-08-01 17:43:19 +02:00
Cayetano Santos
797bb4862d
gnu: xschem: Move to electronics.
...
* gnu/packages/engineering.scm (xschem): Move from here ...
* gnu/packages/electronics.scm: ... to here.
Change-Id: I7af66f9721d371241ec36604e960151177a663c1
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-07-26 21:01:21 +09:00
Cayetano Santos
e41a317ad7
gnu: symbiyosys: Fix typo.
...
This package uses gnu-build-system, not cmake.
* gnu/packages/electronics.scm (symbiyosys)[arguments]<#:imported-modules>:
Replace cmake-build-system-modules by default-gnu-imported-modules.
Change-Id: I9fcb34e4d7e80dbbd8f3dc9c9ec14c4ae1b9264b
Reviewed-by: Maxim Cournoyer <maxim.cournoyer@gmail.com>
Signed-off-by: Sharlatan Hellseher <sharlatanus@gmail.com>
2025-07-23 15:36:39 +01:00
Cayetano Santos
f2e4c9d4b0
gnu: python-cocotb: Update to 2.0.0b1.
...
* gnu/packages/electronics.scm (python-cocotb): Update to 2.0.0b1.
[arguments] <:#test-flags>: Update.
<:#phases>: Remove 'check-vhdl.
Change-Id: I3c4faf4eb1c332246b9417ecd30a5c681ae61789
2025-07-19 11:43:42 +01:00
Cayetano Santos
6c53b11e1c
gnu: symbiyosys: Update to 0.55.
...
* gnu/packages/electronics.scm (symbiyosys): Update to 0.55.
Change-Id: Ib1a8277b765283697136ee22f4e9ca15dd933123
Signed-off-by: Maxim Cournoyer <maxim@guixotic.coop>
2025-07-12 20:20:21 +09:00
Cayetano Santos
e0ddd4af4e
gnu: python-vsg: Update to 3.32.0.
...
* gnu/packages/electronics.scm (python-vsg): Update to 3.32.0.
Change-Id: Ia350d992345bce5c2ddf1ad0cc9a85c1a14b10a7
Signed-off-by: Andreas Enge <andreas@enge.fr>
2025-07-07 14:08:50 +02:00